Celebrity News, Exclusives, Photos and Videos

Tech

HPSP: To Proceed Benefiting from Tech Migration


The writer is an analyst of NH Funding & Securities. He may be reached at hwdoh@nhqv.com. — Ed. 

 

Regardless of the slowdown in semiconductor demand as a result of world financial recession, HPSP’s gross sales of high-pressure hydrogen annealing gear ought to proceed to profit from tech migration. Primarily based on consensus, HPSP is forecast to log 2023 gross sales of W194.8bn (+25.2% y-y).

Producer of high-pressure hydrogen annealing gear

HPSP manufactures and sells high-pressure hydrogen annealing gear that improves the interfacial traits of transistors utilizing high-Ok insulating movie. Such annealing gear is launched to forestall present leakage as a result of tunneling phenomenon that happens in 28nm or decrease processes in high-Ok materials (HfO2) that varieties the transistor movie.

Excessive-pressure annealing expertise varieties H-Si bonds on interface defects via chemical reactions utilizing H2 and D2. By way of this course of, the pace of the built-in circuit is improved by electrically neutralizing interface defects.

HPSP’s GENI-SYS gear is the one high-pressure hydrogen annealing gear at the moment being utilized within the mass-production section at a significant semiconductor producer. As such gear is very essential on the sub-16nm node, continued gross sales progress is anticipated. Primarily based on consensus, the agency’s 2023 gross sales are forecast to climb 25.2% y-y.

Demand rising on refinement of semiconductor processes

By way of 2020, HPSP’s gear was primarily utilized in foundries. Not too long ago, nevertheless, high-Ok software has been expanded to DRAM and NAND, and adoption of the agency’s gear is accelerating. HPSP’s gear may be carried out successfully even at temperatures beneath 400 levels Celsius. Because the chip fabrication course of turns into extra refined, the benefits of software at decrease temperatures are being better highlighted, leading to ever larger demand from clients.

Demand for chips is slowing considerably amid the latest world financial downturn. Even beneath such circumstances, HPSP’s annealing gear ought to get pleasure from continued gross sales progress due to tech migration. Intimately, demand for high-pressure hydrogen annealing gear is predicted to extend for gate-all-around (GAA), which Samsung Electronics (SEC) is introducing from the 3nm scale, in addition to DRAM processes beneath 1bnm. HPSP is predicted to start out constructing new factories to fulfill rising demand, with capability projected to double by end-2024.



Source link

Leave a Reply

Your email address will not be published. Required fields are marked *